Turquoise Blue Team 2021

Athokshay Ashok, Siegfred Madeghe, Trung Truong

Linting Toolchain for VHDL Programming

For a college student or any beginner programmer who has had minimal programming experience or has primarily worked with high-level languages such as Python or Java, the unique syntaxes and programming flow of VHDL can be confusing to understand at times. In most cases, the errors generated by VHDL compilers are vague and do not provide detailed insights into the issues at hand. Furthermore, there is not much support for compiling VHDL on different platforms, which is frustrating for beginners to develop and simulate VHDL code.

To address these issues, the team created a VHDL linting toolchain that parses and ‘lints’ VHDL code. The tool generates easy-to-follow error messages by giving pointers whenever there seem to be some errors in the source code. Along the way, the team took advantage of open-source VHDL compiling tools to create a robust, cross-platform compilation toolchain with an interactive command-line interface for a smooth user experience.

Related Tech Notes

Project Poster